Re: [Yaffs] Error injection

Top Page
Attachments:
Message as email
+ (text/plain)
Delete this message
Reply to this message
Author: Charles Manning
Date:  
To: yaffs
Subject: Re: [Yaffs] Error injection
On Friday 10 February 2012 21:52:59 Salvatore Galfano wrote:
> Hi everybody,
>
> I'm wondering about injecting some errors (i.e., flipping or forcing to
> a value a certain group of bits of a specific page) in a simulated nand
> flash device. Is it possible? How could it be done?
> Thank you in advance for your avalaibility.
>
> Best regards,
> Salvatore G.


You have not said what environment you are testing with.

If you are testing inside Linux, then I think there is a way to inject errors
in the mtd.

If you are running tests in yaffs direct, then you could run this under a
debugger and use the debugger to inject errors.

-- CHarles